Welcome![Sign In][Sign Up]
Location:
Search - FPGA ADC

Search list

[Internet-NetworkXilinx FPGA设计进阶(提高篇)

Description: Xilinx FPGA设计进阶(提高篇)-advanced Xilinx FPGA design (Advancement Part 1)
Platform: | Size: 2460672 | Author: 郑忡 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: adc0809的fpga时序电路接口程序-Sequential Circuits adc0809 the FPGA interface program
Platform: | Size: 196608 | Author: yc | Hits:

[VHDL-FPGA-VerilogADC1

Description: 用FPGA实现的ADC采样器,用VHDL编写,8个模拟信号通道地址,8位数据输出-Using FPGA to achieve the ADC sampler, using VHDL prepared 8-channel analog signal address, 8-bit data output
Platform: | Size: 124928 | Author: 叶开 | Hits:

[VHDL-FPGA-VerilogADC

Description: 用verilog编程实现的基于FPGA的AD数据采集程序-Verilog Programming with FPGA-based data collection procedures AD
Platform: | Size: 499712 | Author: 张西贝 | Hits:

[VHDL-FPGA-Verilogadc_control

Description: Xilinx FPGA 开发板的ADC采样源程序 内有PDF文档详细说明 VHDL代码-Xilinx FPGA development board with the ADC sampling source has a detailed description PDF document VHDL code
Platform: | Size: 951296 | Author: visual | Hits:

[VHDL-FPGA-VerilogFPGAAD

Description: FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar-FPGA control AD procedure
Platform: | Size: 269312 | Author: 黄群 | Hits:

[SCMadc_sensor

Description: adc is fpga latform code for testing temparature sense
Platform: | Size: 121856 | Author: rahul | Hits:

[VHDL-FPGA-Verilogs3esk_picoblaze_amplifier_and_adc_control

Description: Contains bat files for direct upload of adc control to FPGA
Platform: | Size: 1012736 | Author: khoosram | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 结合FPGA和以太网传输的特点,设计了一套数据采集系统,应用FPGA的内部逻辑实现对ADC、SDRAM、网卡控制芯片DM9000的时序控制,以FPGA作为采集系统的核心,通过ADC,将采集到的数据存储到SDRAM中,以FIFO方式从SDRAM中读出数据,并将数据结果通过以太网接口传输到计算机-Combination of FPGA and Ethernet features, designed a data acquisition system, application FPGA' s internal logic to realize the ADC, SDRAM, LAN controller chip DM9000 timing control to capture FPGA as the core of the system, through the ADC, will be collected The data stored in SDRAM, the SDRAM in order to read data from the FIFO method, and data results to a computer via Ethernet interface
Platform: | Size: 388096 | Author: gdr | Hits:

[VHDL-FPGA-VerilogADC

Description: a verilog code about dac of audio codec on fpga board.
Platform: | Size: 1024 | Author: DCLAB | Hits:

[VHDL-FPGA-VerilogADC

Description: ACTEL FUSION STARTKIT FPGA 开发板例程,实现16通道的adc转换控制 adc精度12位 / 10位 可调 -ACTEL FUSION STARTKIT FPGA development board routines, to achieve 16-channel control of adc adc conversion precision 12-bit/10 adjustable
Platform: | Size: 488448 | Author: zhangyujun | Hits:

[VHDL-FPGA-VerilogADCData

Description: ADC Interface to read into FPGA
Platform: | Size: 2048 | Author: Sam | Hits:

[Driver Developspartan3e_test

Description: Drive for ADC-DAC POR FPGA SPARTAN 3E STARTER KIT
Platform: | Size: 2048 | Author: FPGA666 | Hits:

[VHDL-FPGA-VerilogADC-FPGA-test

Description: adc 测试 , FPGA 工程-adc test, FPGA project
Platform: | Size: 3072 | Author: 王海峰 | Hits:

[VHDL-FPGA-Verilogadc

Description: 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
Platform: | Size: 3072 | Author: 钟雪美 | Hits:

[VHDL-FPGA-VerilogADC

Description: AD转换是现在工业中应用十分广泛的一种技术,它可以实现模拟量向数字量的转换,fpga这一快速的器件将有利于数据的处理。-adc0809 vhdl fpga
Platform: | Size: 36864 | Author: | Hits:

[VHDL-FPGA-VerilogAdcClock

Description: Device: Virtex-6 -- Author: Marc Defossez -- Entity Name: AdcClock -- Purpose: High-speed local clock control for an interface between a FPGA and a -- Texas Instruments ADC. -- Tools: ISE - XST -- Limitations: none -- -- Revision History: -- Rev. -Device: Virtex-6 -- Author: Marc Defossez -- Entity Name: AdcClock -- Purpose: High-speed local clock control for an interface between a FPGA and a -- Texas Instruments ADC. -- Tools: ISE- XST -- Limitations: none -- -- Revision History: -- Rev.
Platform: | Size: 6144 | Author: liu qiang | Hits:

[SCMADC

Description: 国产带MCU的 FPGA ADC 工程源码-Domestic FPGA ADC works with the MCU source
Platform: | Size: 4797440 | Author: workview | Hits:

[VHDL-FPGA-Verilogadc

Description: VHDL code of adc and interfacing with Spartan 3E FPGA Board
Platform: | Size: 1024 | Author: Shoaib14 | Hits:

[Documents基于FPGA的视频监控系统

Description: 本文设计并实现了一个基于 FPGA 的视频监控系统。论文首先在 FPGA 中 设计 I2C 总线配置模块对视频 ADC 芯片 ADV7181 进行合理的配置,然后详细 介绍了视频信号的处理过程,(This paper designs and realizes a Video Monitoring system based on FPGA. Firstly, the paper designs a I2C-config module to configure the ADC chip ADV7181 properly. Then introduces the transaction process of the video in detail)
Platform: | Size: 617472 | Author: buyop | Hits:
« 12 3 4 5 6 7 8 »

CodeBus www.codebus.net